fpga-systems-magazine

Архив новостей из мира FPGA

« 1 2 ... 11 12 13 14 15 ... 72 73 »

Вебинар о инструментах разработки на языках C и C++ для ПЛИС Microchip — 16 и 17 ноября в 15.00(мск)

16 и 17 ноября пройдёт вебинар, посвященный малопотребляющим ПЛИС, и инструментам для разработки от Microchip, в том числе, о генераторе RTL-кода - Smart HLS.
... Читать дальше »

816

0

Повышение квалификации в области ПЛИС - Знакомимся с Cyclone 10 LP

Компания Arrow проведёт вебинар, на котором вы познакомитесь с ПЛИС семейства Intel Cyclone 10 LP. В представленной презентации дается краткое объяснение основных функций ПЛИС и подробно рассматриваются архитектурные особенности этого семейства. Мы также расскажем о функциях платы CYC1000 maker, которая позволяет вам начать работу с этим семейством и быстро создавать прототип для вашего проекта. ... Читать дальше »

808

0

Как быстро завершить верификацию CDC+RDC с помощью иерархических методологий

Методологии разработки, которые не соответствуют возрастающей сложности и размеру проекта, в конечном итоге не дадут результатов. Иерархические методологии верификации дают возможность разделить и покорить более крупные и сложные проекты. Однако, если проект для анализа разбивается на части, то возникают рискованные компромиссы – страдает либо точность, либо производительность. На этом вебинаре будут показаны способы решения сложных задач проектирования с помощью иерархического подхода, который не теряет точности и производительности. ... Читать дальше »

825

0

Formal 101 - Независимость от данных и Non-Determinism

На прошлом вебинаре “Formal 101 – Basic Abstraction Techniques” мы показали, как применять проверенные временем методы для безоп ... Читать дальше »

572

0

Конференция OpenTapeOut

С 6 по 7 ноября проводится конференция OpenTapeOut, посвященная разработке СБИС с помощью открытых инструментов.

С расписанием конференции можно ознакомиться по ссылке:

https://opentapeout.dev/#schedule

... Читать дальше »

591

0

Проходим туториал по Questa Sim - FPGA Monkey Stream #36

Questa Sim и Model Sim - наверное один из самых популярных third-party симуляторов, который используется при разработке на FPGA. Это очень мощный инструмент, который при первом взгляде на его интерфейс, заставляет почувствовать боль, ужас и угнетение. Но за этой архаичной оболочкой, скрывается настолько потрясный функционал, что отвращением от GUI можно пренебречь.

Самое сложное при работе с новым инструментов - это понять как он работает, а для этого в Questa есть отличный 300 страничный туториал из 20 лабораторок, который мы с вами и будем проходить на этом стриме. ... Читать дальше »

1093

0

Итоги 7-го Российского Форума «Микроэлектроника 2021»

C 3 по 9 октября 2021 года в г. Алушта (Республика Крым) прошел 7-й Российский Форум «Микроэлектроника 2021», — главное событие в сфере электронных и цифровых технологий в России.

Высокий интерес к Форуму подтвердился рекордным числом участников, — делегатами и докладчиками Форума стали 1221 человек из 560 научных центров, госкорпораций, производственных предприятий, университетов и коммерческих структур.    ... Читать дальше »

709

1

OSMOSIS-2021

Двух дневный евент, от компании OneSpin, посвященный вопросам проверки функциональной корректности, логической эквивалентности, ретаргетингу ПЛИС (переход со старого семейства на более новое) и верификации RISC-V. Мероприятие обещает быть насыщенным и интересным. ... Читать дальше »

871

0

Понимание стабильности рандомизации в SystemVerilog и UVM

Распространенной проблемой при накладывании ограничений на рандомизацию при моделировании является последующая возможность точного воспроизведения случайных воздействий для отлаживаемых объектов и запрета регрессионных тестов . Это особенно проблематично, когда исходный код нуждается в изменении, а сама проблема  известна как стабильность случайности (стабильность рандомизации, random stability) 

На этом вебинаре, мы объясним: ... Читать дальше »

611

0

Практический маршрут для непрерывной интеграции: используйте EDA на максимум

Верификация изменений в коде RTL и коде тестового окружения перед релизом для остальной части команды - лучший способ избежать ошибок, которые приводят к массовым сбоям в работе всей команды. На этом вебинаре вы познакомитесь с примерами маршрутов проектирования, которые при использовании с системой непрерывной инте ... Читать дальше »

628

0

Чуть больше преимуществ для наших патронов на boosty

Последние статьи нашего сообщества

Познавательное

К формальной проверке

Подробнее

Xilinx FPGA

ZYNQ SW: EBAZ4205: часть 4 (Vivado 2023)

Подробнее

Xilinx FPGA

ZYNQ HW: EBAZ4205: часть 3 (Vivado 2023)

Подробнее

Познавательное

Найдено 9-ое число Дедекинда и, как вы наверное догадываетесь, не без помощи FPGA

Подробнее

Верификация

Формальная верификация с SymbiYosys

Подробнее

Прочее

Сага о светодиодах. Часть 4.

Подробнее

Обзор

Запуск симуляции IP-ядер фирмы GoWiN

Подробнее

Познавательное

QuickSilicon: платформа с задачами на разработку RTL

Подробнее

Верификация

Отслеживаем успехи верификации в Obsidian

Подробнее
Все статьи

Календарь актуальных событий и мероприятий

Вебинар (состоится )

Ускорение разработки на SoC и FPGA с помощью Vitis™ Model Composer и Vivado™ Design Suite

Подробнее

Мероприятия (состоится )

ПРЕДКОНФЕРЕНЦИИ РОССИЙСКОГО ФОРУМА МИКРОЭЛЕКТРОНИКА 2023

Подробнее

Вебинар (состоится )

GOWIN Semiconductor приглашает на вебинар по i3c .

Подробнее

Мероприятия (состоится )

Российский форум «Микроэлектроника» - межотраслевая площадка для общения специалистов в области разработки, поставки и применения ЭКБ и РЭС

Подробнее

Вебинар (состоится )

Двухдневный семинар "Использование приложений видения с KRIA" (день 2)

Подробнее

Вебинар (состоится )

Двухдневный семинар "Использование приложений видения с KRIA" (день 1)

Подробнее

Вебинар (состоится )

Начало работы с UVM

Подробнее

Вебинар (состоится )

Высокоуровневый синтез для исследования архитектуры аппаратного/программного обеспечения для инференса

Подробнее

Вебинар (состоится )

Путь в opensorce инструменты для FPGA

Подробнее

Вебинар (состоится )

Вебинар Understanding Versal

Подробнее
Все предстоящие события

Объявления

Продам

Продам FMC-loopback, PCIe-loopback

Подробнее

Ищу сотрудников

Инженер разработчик топологии СнК

Подробнее

Ищу сотрудников

Инженер верификатор

Подробнее

Ищу сотрудников

ПЛИС (FPGA) Верификатор

Подробнее

Ищу сотрудников

Инженер-верификатор (RTL)

Подробнее

Ищу сотрудников

Требуется Инженер-разработчик (FPGA) для разработки нового продукта

Подробнее

Ищу сотрудников

Вакансия Инженер-программист ПЛИС в частную космическую компанию Спутникс

Подробнее

Ищу сотрудников

Senior MCU designer/architect

Подробнее
Все объявления

FPGA-Systems – это живое, постоянно обновляемое и растущее сообщество.
Хочешь быть в курсе всех новостей и актуальных событий в области?
Подпишись на рассылку

ePN