Иноформационно-образовательный портал для FPGA разработчиков
Главное меню
  • Страница 1 из 1
  • 1
Форум FPGA комьюнити » Test category » Test forum » Test subforum » тест (код колор)
тест
KeisN13
Рядовой
Группа: Администраторы
Сообщений: 11
Репутация: 0
Статус: Offline
 

Код
#SV
ffdssf
dsfsdfsd
sdfdsf
endmodule

Код
#TCL
set a 4
set b 5
спойлер  и код 


KeisN13
Рядовой
Группа: Администраторы
Сообщений: 11
Репутация: 0
Статус: Offline
 

Код
#VERILOG
posedge clk

Проверка подсветки синтаксиса в комменатарии
Форум FPGA комьюнити » Test category » Test forum » Test subforum » тест (код колор)
  • Страница 1 из 1
  • 1
Поиск:
ePN