Иноформационно-образовательный портал для FPGA разработчиков
Главное меню
Test category
 ФорумТемыОтветыОбновления
Test forum
Test forum description
Подфорумы: Test subforum
2 2 Вторник, 28.12.2021, 22:54
Тема: опрос
Сообщение от: evgeniybolnov

FPGA / SoC - вопросы по архитектуре
 ФорумТемыОтветыОбновления
Xilinx / AMD
0 0 Нет сообщений
Intel / Altera
0 0 Нет сообщений
Gowin
0 0 Нет сообщений
Efinix
0 0 Нет сообщений

HDL - вопросы по коду
 ФорумТемыОтветыОбновления
Code review
Рецензируем коды и проводим их ревизию
Модератор форума: drakonof
4 15 Четверг, 21.04.2022, 10:53
Тема: Выделитель фронта
Сообщение от: leningrad-1988
SystemVerilog
1 1 Понедельник, 17.04.2023, 15:16
Тема: Сравнение результатов синтеза
Сообщение от: anton_sosnitzkij
VHDL
0 0 Нет сообщений
Verilog
2 0 Вторник, 29.11.2022, 03:30
Тема: Синхронный дизайн. Предел ра...
Сообщение от: m68krc

HLS - высокоуровневый синтез
 ФорумТемыОтветыОбновления
Vitis / Vivado HLS
0 0 Нет сообщений
Microchip HLS (LegUp)
0 0 Нет сообщений
OneApi / DPC++
0 0 Нет сообщений

САПР - вопросы по средам проектирования
 ФорумТемыОтветыОбновления
Xilinx / AMD
ISE, PlanAhead, Impact, EDK, Vivado, Vitis, SDK и тд.
Подфорумы: ISE / PlanAhead
1 0 Вторник, 26.07.2022, 14:30
Тема: xilinx vivado и vitis 2022 в...
Сообщение от: nikitademerza
Intel / Altera
0 0 Нет сообщений

Собеседования
 ФорумТемыОтветыОбновления
Вопросы
Обсуждаем вопросы, которые задают кандидатам на собеседовании
Модератор форума: KeisN13
3 13 Четверг, 21.04.2022, 21:54
Тема: Сериалайзеры и десериалайзеры
Сообщение от: punzik
Тестовые задания
Обсуждаем тестовые задания, которые высылает потенциальный работодатель
Модератор форума: KeisN13
0 0 Нет сообщений



Дополнительная информация
Посетители: 2  (участников - 0, гостей - 2)
Рекорд посещаемости форума 128 зафиксирован Среда, 19:12, 23.03.2022.
Статистика форума
Всего создано 13 тем, в которые добавлено 31 ответов.
Зарегистрировано 1418 участников. Приветствуем нового участника kaznacheevii82.
ePN