Иноформационно-образовательный портал для FPGA разработчиков
Главное меню
Форум FPGA комьюнити » HDL - вопросы по коду
HDL - вопросы по коду
 ФорумТемыОтветыОбновления
Code review
Рецензируем коды и проводим их ревизию
Модератор форума: drakonof
4 15 Четверг, 21.04.2022, 10:53
Тема: Выделитель фронта
Сообщение от: leningrad-1988
SystemVerilog
1 1 Понедельник, 17.04.2023, 15:16
Тема: Сравнение результатов синтеза
Сообщение от: anton_sosnitzkij
VHDL
0 0 Нет сообщений
Verilog
2 0 Вторник, 29.11.2022, 03:30
Тема: Синхронный дизайн. Предел ра...
Сообщение от: m68krc
ePN