Иноформационно-образовательный портал для FPGA разработчиков
Главное меню
  • Страница 1 из 1
  • 1
Форум FPGA комьюнити » HDL - вопросы по коду » SystemVerilog » Сравнение результатов синтеза (сравнение результатов синтеза конструкций SV)
Сравнение результатов синтеза
anton_sosnitzkij
Рядовой
Группа: Модераторы
Сообщений: 4
Репутация: 0
Статус: Offline
 
Сюда предлагаю складывать описания результатов неоднозначного восприятия инструментами синтеза тех или иных конструкций языка
anton_sosnitzkij
Рядовой
Группа: Модераторы
Сообщений: 4
Репутация: 0
Статус: Offline
 
Я начну:
представляю код на SV, который по-разному воспринимается разными FPGA-вендорами


Код
`timescale 1ns / 1ps
module prior_encod(
    input logic [11:0] sw,
    output logic [3:0] leds
    );
  
       always_comb begin
        if (sw == 12'b0) begin
            leds = 4'b0;
        end else begin
            for(int i=0;i<12;i++) begin
                if (sw [i]== 1'b1) begin
                    leds = i;
                end
            end
        end
    endendmodule[/i]

Это код приоритетного энкодера. В коде описана защелка в блоке always_comb
Полученные реакции:
1) Gowin: выдаёт предупреждение, синтезирует схему с защелкой
2) Vivado 21.2: никаких ошибок и предупреждений, просто синтезирует схему с защелкой
3) Quartud Prime 18.0 выдал ошибку на анализе и синтезе и не преврати это в схему
Сообщение отредактировал anton_sosnitzkij - Вторник, 18.04.2023, 18:58
Форум FPGA комьюнити » HDL - вопросы по коду » SystemVerilog » Сравнение результатов синтеза (сравнение результатов синтеза конструкций SV)
  • Страница 1 из 1
  • 1
Поиск:
ePN