fpga-systems-magazine

HDLBits - Тренируй навык Verilog

KeisN13
14.04.2021 10:18
1398
0
0.0

HDLBits - это набор небольших упражнений по проектированию схем  с использованием языка описания аппаратуры Verilog (HDL).   Каждая проблема требует от вас разработки небольшой схемы в Verilog. HDLBits . Ваша схема проверяется на правильность путем моделирования с помощью набора тестовых векторов и сравнения ее с нашим эталонным решением.

Подробнее ознакомиться с ресурсом HDLBits можно по ссылке.

PS: Не так давно мы начали делать наш FPGA-AWESOME-LIST, в который собираем полезные ресурсы по FPGA/HDL/RTL. Не забудьте написать про какой-нибудь полезный сайт/инструмент в комментариях или сразу сделайте пул реквест на гитхаб

1398
0
0.0

Всего комментариев : 0
avatar

FPGA-Systems – это живое, постоянно обновляемое и растущее сообщество.
Хочешь быть в курсе всех новостей и актуальных событий в области?
Подпишись на рассылку

ePN