FPGA-Systems Magazine :: FSM

Первый журнал о программируемой логике

|

|

First magazine about programmable logic

 

FPGA-Systems Magazine :: FSM :: № BETA (state_1)

Номер актуальной версии v1.1

  • СОДЕРЖАНИЕ
    • Обзор
      • Попов М. А., Романов А. Ю. Versal... Как много в этом слове!
      • Малышев Никита. Развитие отечественных САПР проектирования микроэлектроники на платформе Delta Design
      • Гнитеев Николай. Интерактивный HDL
      • Заостровных Андрей. Обзор отладочной платы ALINX AXU15EGB
      • Графов М.В. Отладочная плата ПИР СЦХ-254 «Карно»
    • Начинающим
      • Романова И.И., Зунин В.В., Маршутина Е.Н., Американов А.А., Романов А.Ю. DESim: как изучать проектирование на ПЛИС без отладочной платы 
      • Хлуденьков А. Н. Давайте создадим процессор! Step by Step.
      • Аверченко А. П. Шинная организация сигналов в DEEDS
      • Харабадзе Д.Э. “Бегущие огни” на ATF22V10
      • Коробков Михаил. Сдвиговый регистр или то, о чем не расскажут в статьях для начинающих :: атрибуты синтеза
    • Реализация
      • Сонин А.П., Хромцев А.В., Свирин Д.М. DRFM на основе ПЛИС Virtex-7 для тестирования радиолокаторов с синтезированной апертурой антенны
      • Кузнецов Данила. Реализация IQ-модулятора для ПЛИС
      • Погодаев А.А. Абрамов А.Е. Загрузка драйвера UEFI, с помощью ПЛИС
      • Кудинов Максим. Игра в Pong на SystemVerilog
    • Исследования
      • Мальчуков А.Н. if if’у рознь. QUARTUS vs VIVADO. SystemVerilog vs
        VHDL
      • Пузанов Николай. Быстрое вычисление медианы в целых числах
    • Туториал
      • Сергей Б. Испытательный стенд с использованием YosysHQ MCY
      • Гуров В.В. Опенсорс для ПЛИС... и наоборот
      • Белоусов Олег. Buildroot это просто
      • Мангушев Александр Вячеславович. Подключение физического устройства, размещенного на ПЛИС в симулятор QEMU при помощи Ethernet
      • Балакший Сергей. Шаблон проекта испытательного стенда с использованием Yosys, Verilator, Icarus Verilog
    • Tips & tricks
      • Кудинов Максим. Verilator как linter в Neovim
      • Туровский Дмитрий Николаевич. Заметки ПЛИСовода

 

 

Приобрести печатную версию 

Release date: 25 May 2024

Material Submission: up to 23 May 2024

Formatting Rules: available here

Send to: Telegram @KeisN13 e-mail admin@fpga-systems.ru

 

Submitted articles:

  • List in progress

FPGA-Systems Magazine :: FSM :: № GAMMA (state_2)

Дата выхода: 30 ноября 2024

Приём материалов: до 25 ноября 2024

Правила оформления: доступны по ссылке

Направляйте материалы: Telegram @KeisN13 e-mail admin@fpga-systems.ru

В разработке

  • Лотник Виталий. Статья про ldpc кодер (Если пост наберёт 10 лайков, буду писать. пруф)
  • Туровский Дмитрий. Заметки ПЛИСовода. Часть вторая. В прошлых заметках мы рассмотрели некоторые типипчные ошибки и
    недочеты. Было бы ошибочно полагать, что на этом они заканчиваются.

Уже в номере

  • Список формируется
  • Уже в номере:
    •  

Release date: 30 November 2024

Material Submission: up to 25 November 2024

Formatting Rules: available here

Send to: Telegram @KeisN13 e-mail admin@fpga-systems.ru

 

Submitted articles:

  • List in progress

Архив | Archive

FPGA-Systems Magazine :: FSM :: № ALFA (state_0)

СОДЕРЖАНИЕ:

  • Аналитика
    • Панчул Юрий. Что умеют и не умеют писать на SystemVerilog для ASIC и FPGA американские студенты?
    • Хлуденьков Александр. Реализация нейронных сетей на FPGA
  • Начинающим
    • Солодовников А.П. FPGA 101
    • Балакший Сергей.  Зажигаем светодиод процессором j1
  • Туториал
    • Куренков Константин. Работа с DPI.
    • Аверченко А.П. Простое вхождение в цифровую схемотехнику с DEEDS
  • Исследования
    • Бибило П.Н. Минимизация алгебраических представлений систем булевых функций при синтезе схем модулярных сумматоров и умножителей
    • Соловьев В.В. Стили и способы описания конечных автоматов на языках Verilog и SystemVerilog
    • Мальчуков А.Н. Разница восприятия САПР QUARTUS языков SystemVerilog и VHDL и разница между VIVADO
    • Алексеев К.Н., Сорокин Д.А. Оптимизация вычислительных структур под архитектуру ПЛИС XILINX
  • Реализация
    • Попов М.А., Романов А.Ю.Реализация видеовывода сверхвысокой четкости на микросхемах семейства Zynq-7000
    • Афанасьев Никита. Реализация интерполятора на платформе SDR Pluto+
    • Гуров В.В. PYNQ для систем-на-кристалле на примере реализации множества Мандельброта
    • Коробков М.А. Умножай эффективно. Алгоритм Карацубы. Прямая реализация.
    • Борисенко Н.В. Мост сопряжения внутрикристального системного интерфейса AMBA APB4 с интерфейсом стыка простого исполнителя STI 1.0
    • Сухачев К.И. Многоканальное устройство записи (МУЗА_4К10М1)
    • Минаев Александр. Реализация передатчика MIPI CSI-2 на GOWIN GW2A с подключением к Raspberry PI
    • Бортников А.Ю.Реализация Avalon-MM Master в виде конечного автомата на VHDL
    • Кашпурович В.В. Интеграция гигабитного последовательного интерфейса на основе стандарта JESD204B: расширение горизонтов передачи данных в ПЛИС
    • Мыцко Е.А.  Аппаратная реализация на ПЛИС свёрточных нейронных сетей для семантической сегментации снимков леса
  • Tips & Tricks
    • Пузанов Николай. Об использовании фильтров в GTKWave
    • Коробков Михаил. set set set; #это не только легально, но и полезно
    • Кашканов Артём. Verilator – многофункциональный инструмент эмуляции и тестирования Verilog-кода.

Скачать FSM :: № ALFA

TABLE of CONTENTS

  • Tutorial
    • Théophile Loubière. Simple VGA tutorial with Chisel
 

You are needed by us. Please send your materials: Telegram @KeisN13 e-mail admin@fpga-systems.ru up to 15 of November 2023

Download FSM :: № ALFA

О журнале

Издаётся с ноября 2023 года

Тематика: FPGA, ПЛИС, разработка микросхем, ASIC, верификация, RTL, HDL

Периодичность: 2-4 номера в год

Носитель: распространяется в электронном виде (в формате файла pdf)

Издатель: Коробков Михаил Александрович

Редактор: Коробков Михаил Александрович

Адрес издателя: Московская область, г.Раменское, ул. Левашова 22, стр 313

Контакты: Telegram @KeisN13 e-mail admin@fpga-systems.ru

Размещение рекламы: Telegram @KeisN13 e-mail admin@fpga-systems.ru

Поддержать материально: boosty Юмани

Стоимость журнала: бесплатно

About Magazine

Published since November 2023

Theme: FPGA, ASIC, Verification, RTL, HDL

Periodicity: 2-4 issue / year

Sharing: shared as PDF file

Publisher: Korobkov Mikhail

Editor: Korobkov Mikhail

Address: Russia, Moscow area, Ramenskoe, Levashova st., b. 313

Сontacts: Telegram @KeisN13 e-mail admin@fpga-systems.ru

Advertising placement: Telegram @KeisN13 e-mail admin@fpga-systems.ru

Donate by: boosty Yoomoney

Magazine price: free

-

--

FPGA-Systems – это живое, постоянно обновляемое и растущее сообщество.
Хочешь быть в курсе всех новостей и актуальных событий в области?
Подпишись на рассылку

ePN