fpga-systems-magazine

Sigasi Studio 4.4

KeisN13
20.06.2019 12:32
1611
0
0.0
Если Вы когда-либо задумывались над тем, на что же заменить стандартный редактор кода из среды разработки, то вероятно натыкались на просторах интернета на такой продукт как Sigasi (особенно если вы разработчик на VHDL, однако есть и поддержка Verilog/SystemVerilog). 
Sigasi - это действительно мощный инструмент при разработке когда, обладающий всем необходимым набором функций: подсветка синтаксиса, автодополнение, построение и визуализация иерархии проекта и много другое. 
Сегодня стал доступен новый релиз этого продукта, с которым можно ознакомиться на сайте разработчика.

SystemVerilog Class Hierarchy View

VHDL library name in External tool configurations

 

1611
0
0.0

Всего комментариев : 0
avatar

FPGA-Systems – это живое, постоянно обновляемое и растущее сообщество.
Хочешь быть в курсе всех новостей и актуальных событий в области?
Подпишись на рассылку

ePN