fpga-systems-magazine

Вебинар : Эффективное создание тестбенчей с использованием Cocotb и Python от компании ALDEC

KeisN13
07.11.2017 11:15
1736
0
5.0

   

   Cocotb  это специализированный набор утилит построенный на принципах Co-simulation для выполнения верификации  RTL Verilog/VHDL проектов c использованием языка Python. Это программное обеспечение с открытым исходным кодом, доступным на Git-hub, в котором используются те же самые подходы к функциональной верификации, подобно библиотеке UVM, однако имплементированном на Python.

   В этом вебинаре будет представлена Cocotb, и рассказано, как Cocotb может обеспечить значительную экономию времени на разработку, содействовать повторному использованию кода и в конечном итоге сократить время выхода проекта на рынок и общую стоимость разработки

Узнать подробнее можно здесь и пройти регистрацию здесь

1736
0
5.0

Всего комментариев : 0
avatar

FPGA-Systems – это живое, постоянно обновляемое и растущее сообщество.
Хочешь быть в курсе всех новостей и актуальных событий в области?
Подпишись на рассылку

ePN