fpga-systems-magazine

Тренинг по Chisel

KeisN13
19.03.2021 12:27
1609
0
0.0

Вы когда-нибудь слышали про chisel? Если вы читаете наш телеграм чат - то наверное да. А вот планировали ли вы развиваться в этом направлении и пытаться его изучать?

Первый вопрос с чего же начать?

Вам повезло, на github не так давно появился тренинг по chisel. Этот курс предназначен как для практиков, так и для ученых, занимающихся цифровым дизайном. Chisel - это язык аппаратного проектирования  с открытым исходным кодом (HCL), используемый для генерации цифровых схем на уровне регистровых передач (RTL). Объектно-ориентированные, а также функциональные аспекты программирования Scala наследуются в Chisel для генерации цифрового оборудования. Цифровые схемы, описанные в Chisel, переводятся в эквивалентный Verilog для синтеза, а также моделирования.

После завершения этого курса читатель сможет создавать параметризуемые модули. В частности, считыватель сможет синтезировать комбинационные и последовательные схемы, описывать конечные автоматы, описывать путь передачи анных данных и контроллеры для различных архитектур процессоров, хотя основное внимание в этом курсе будет уделено архитектуре RISC V. Ниже приведен список тем курса:

  • Introduction to Chisel (chisel3) and Scala
  • Combinational circuits, Control flow, Testing in Chisel
  • Parameterization
  • Sequential circuits, Finite state machines, Memories
  • Collections in Scala, Scala I, Scala II
  • Project
  • Scala III, Scala IV
  • Diplomacy & TileLink in RocketChip

Ознакомиться с курсом и его содержимым можно по ссылке 

1609
0
0.0

Всего комментариев : 0
avatar

FPGA-Systems – это живое, постоянно обновляемое и растущее сообщество.
Хочешь быть в курсе всех новостей и актуальных событий в области?
Подпишись на рассылку

ePN